首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請
EEPW首頁 >> 主題列表 >> 18a 制程

iPhone17 Pro系列將采用2nm制程芯片,臺積電加快試產(chǎn)但有挑戰(zhàn)

  • 市場消息傳出,臺積電正在提前試產(chǎn)2nm芯片,預(yù)期將在明年iPhone17上首度亮相,但也面臨一些挑戰(zhàn)。兩位消息人士透露,臺積電去年12月已向蘋果和NVIDIA在內(nèi)的最大客戶展示首款「N2」原型的制程測試結(jié)果;2nm制造設(shè)備已于第二季開始進(jìn)駐寶山廠并進(jìn)行安裝、于第三季試產(chǎn),比市場預(yù)期的第四季還早。市場解讀,臺積電在量產(chǎn)前加快速度是為了確保良率穩(wěn)定。有報(bào)導(dǎo)稱,蘋果可能已預(yù)留臺積電所有2nm產(chǎn)能,和首次用于iPhone 15 Pro 的3nm芯片一樣。雖然臺積電能制造芯片,但需要其他供應(yīng)商協(xié)助,2nm更是凸顯出
  • 關(guān)鍵字: iPhone17 Pro  2nm  制程  臺積電  

第二季全球前十大晶圓代工產(chǎn)值季增9.6%

  • 根據(jù)全球市場研究機(jī)構(gòu)TrendForce集邦咨詢調(diào)查顯示,第二季中國618年中消費(fèi)季的到來,以及消費(fèi)性終端庫存已回歸健康水平,客戶陸續(xù)啟動(dòng)消費(fèi)性零部件備貨或庫存回補(bǔ),推動(dòng)晶圓代工廠接獲急單,產(chǎn)能利用率顯著提升,較前一季明顯改善。同時(shí),AI服務(wù)器相關(guān)需求續(xù)強(qiáng),推升第二季全球前十大晶圓代工產(chǎn)值季增9.6%至320億美元。從排名來看,前五大晶圓代工廠商第二季保持不變,依次為TSMC(臺積電)、Samsung(三星)、SMIC(中芯國際)、UMC(聯(lián)電)與GlobalFoundries(格芯)。在六至十名中,VI
  • 關(guān)鍵字: 晶圓代工  制程  先進(jìn)制程  TrendForce  

最新進(jìn)展——Intel 18A產(chǎn)品,成功點(diǎn)亮!

  • Intel 18A芯片現(xiàn)已上電運(yùn)行,并順利啟動(dòng)操作系統(tǒng),將用于明年推出的新一代客戶端和服務(wù)器產(chǎn)品。外部客戶產(chǎn)品將于明年上半年完成流片。英特爾宣布,基于Intel 18A制程節(jié)點(diǎn)打造的首批產(chǎn)品——AI PC客戶端處理器Panther Lake和服務(wù)器處理器Clearwater Forest,其樣片現(xiàn)已出廠、上電運(yùn)行并順利啟動(dòng)操作系統(tǒng)。距離流片僅隔不到兩個(gè)季度,英特爾便再次取得了突破性進(jìn)展。目前,Panther Lake和Clearwater Forest均進(jìn)展順利,預(yù)計(jì)將于2025年開始量產(chǎn)。此外,英特爾還
  • 關(guān)鍵字: Intel 18A  

首發(fā)18A工藝!Intel第三代酷睿Ultra Panther Lake已點(diǎn)亮 明年見

  • 8月7日消息,Intel即將發(fā)布第二代酷睿Ultra處理器,包括低功耗的Lunar Lake(9月4日0點(diǎn))、高性能的Arrow Lake(10月K系列其他明年CES),現(xiàn)在又公布了后續(xù)第三代酷睿Ultra Lunar Lake。Intel官方宣布,Intel 18A(1.8nm級別)制造工藝、Panther Lake酷睿處理器、Clearwater Forest至強(qiáng)處理器(或?yàn)橹翉?qiáng)7)都已經(jīng)走出實(shí)驗(yàn)室,成功點(diǎn)亮,并進(jìn)入操作系統(tǒng)!其中,Panther Lake搭配的內(nèi)存已經(jīng)可以運(yùn)行在設(shè)定的頻率上,顯示性能
  • 關(guān)鍵字: 英特爾  酷睿處理器  18A  1.8nm  

1nm制程集成電路新賽道準(zhǔn)備就緒!

  • 近日,北京科技大學(xué)與新紫光集團(tuán)簽署了戰(zhàn)略合作協(xié)議。雙方將聚焦先進(jìn)制程集成電路的前瞻技術(shù)和關(guān)鍵核心技術(shù)研究,開展科技創(chuàng)新、成果轉(zhuǎn)化、人才培養(yǎng)等全方位合作,共同打造集成電路領(lǐng)域的未來科學(xué)與技術(shù)戰(zhàn)略高地。據(jù)北京科技大學(xué)介紹,雙方將共同建設(shè)“二維材料與器件集成技術(shù)聯(lián)合研發(fā)中心”“8英寸二維半導(dǎo)體晶圓制造與集成創(chuàng)新中心”等高水平研發(fā)平臺,重點(diǎn)開展二維半導(dǎo)體材料與器件的規(guī)模化制備工藝和芯片設(shè)計(jì)制造等方面的產(chǎn)學(xué)研合作,在二維半導(dǎo)體材料制備、關(guān)鍵裝備研發(fā)、集成制造工藝技術(shù)等方面協(xié)同攻關(guān)。中國科學(xué)院院士、北京科技大學(xué)前沿交
  • 關(guān)鍵字: 1nm  制程  集成電路  

臺積電試產(chǎn)2nm制程工藝,三星還追的上嗎?

  • 據(jù)外媒報(bào)道,臺積電的2nm制程工藝將開始在新竹科學(xué)園區(qū)的寶山晶圓廠風(fēng)險(xiǎn)試產(chǎn),生產(chǎn)設(shè)備已進(jìn)駐廠區(qū)并安裝完畢,相較市場普遍預(yù)期的四季度提前了一個(gè)季度。芯片制程工藝的風(fēng)險(xiǎn)試產(chǎn)是為了確保穩(wěn)定的良品率,進(jìn)而實(shí)現(xiàn)大規(guī)模量產(chǎn),風(fēng)險(xiǎn)試產(chǎn)之后也還需要一段時(shí)間才會(huì)量產(chǎn)。在近幾個(gè)季度的財(cái)報(bào)分析師電話會(huì)議上,臺積電CEO魏哲家是多次提到在按計(jì)劃推進(jìn)2nm制程工藝在2025年大規(guī)模量產(chǎn)。值得一提的是,臺積電在早在去年12月就首次向蘋果展示了其2nm芯片工藝技術(shù),預(yù)計(jì)蘋果將包下首批的2nm全部產(chǎn)能。臺積電2nm步入GAA時(shí)代作為3n
  • 關(guān)鍵字: 臺積  三星  2nm  3nm  制程  

曝臺積電3nm瘋狂漲價(jià):6nm/7nm制程卻降價(jià)了

  • 7月8日消息,業(yè)內(nèi)人士手機(jī)晶片達(dá)人爆料,臺積電6nm、7nm產(chǎn)能利用率只有60%,明年1月1日起臺積電會(huì)降價(jià)10%。與之相反的是,因3nm、5nm先進(jìn)制程工藝產(chǎn)能供不應(yīng)求,臺積電明年將漲價(jià)5%-10%。業(yè)內(nèi)人士表示,臺積電3nm漲價(jià)底氣在于,蘋果、高通、英偉達(dá)與AMD等四大廠包攬臺積電3nm家族產(chǎn)能,甚至出現(xiàn)了排隊(duì)潮,一路排到2026年。受此影響,采用臺積電3nm制程的高通驍龍8 Gen4價(jià)格將會(huì)上漲,消息稱驍龍8 Gen4的最終價(jià)格將超過200美元,也就是說單一顆芯片的價(jià)格在1500元左右,相關(guān)終端價(jià)格
  • 關(guān)鍵字: 臺積電  3nm  漲價(jià)  6nm/7nm  制程  

晶圓代工市場冷熱分明,部分特定制程價(jià)格補(bǔ)漲、先進(jìn)制程正醞釀漲價(jià)

  • 根據(jù)全球市場研究機(jī)構(gòu)TrendForce集邦咨詢最新調(diào)查,中國大陸6.18促銷節(jié)、下半年智能手機(jī)新機(jī)發(fā)表及年底銷售旺季的預(yù)期,帶動(dòng)供應(yīng)鏈啟動(dòng)庫存回補(bǔ),對Foundry產(chǎn)能利用率亦帶來正面影響,運(yùn)營正式度過低谷。觀察中國大陸Foundry動(dòng)態(tài),受惠于IC國產(chǎn)替代,中國大陸Foundry產(chǎn)能利用復(fù)蘇進(jìn)度較其他同業(yè)更快,甚至部分制程產(chǎn)能無法滿足客戶需求,已呈滿載情況。另一方面,因應(yīng)下半年進(jìn)入傳統(tǒng)備貨旺季,加上美國設(shè)備出口管制,產(chǎn)能吃緊情境可能延續(xù)至年底,使得中國大陸Foundry有望止跌回升,甚至進(jìn)一步醞釀特定
  • 關(guān)鍵字: 晶圓代工  制程  先進(jìn)制程  TrendForce  

臺積電產(chǎn)能供不應(yīng)求,將針對先進(jìn)制程和先進(jìn)封裝漲價(jià)

  • 6月17日,據(jù)臺媒《工商時(shí)報(bào)》報(bào)道,在產(chǎn)能供不應(yīng)求的情況下,臺積電將針對3nm/5nm先進(jìn)制程和先進(jìn)封裝執(zhí)行價(jià)格調(diào)漲。其中,3nm代工報(bào)價(jià)漲幅或在5%以上,而2025年度先進(jìn)封裝報(bào)價(jià)也將上漲10~20%。
  • 關(guān)鍵字: 臺積電  制程  封裝  3nm  5nm  英偉達(dá)  CoWoS  

揭秘Intel 3:助力新一代產(chǎn)品性能、能效雙飛躍!

  • 近日,英特爾按照其“四年五個(gè)制程節(jié)點(diǎn)”計(jì)劃,如期實(shí)現(xiàn)了Intel 3制程節(jié)點(diǎn)的大規(guī)模量產(chǎn)。使用這一節(jié)點(diǎn)的首款產(chǎn)品,代號為Sierra Forest的英特爾?至強(qiáng)?6能效核處理器,已經(jīng)面向市場推出。新產(chǎn)品面向數(shù)據(jù)中心,為云而生,帶來了性能和能效的雙重提升。預(yù)計(jì)于2024年第三季度推出的英特爾?至強(qiáng)?6性能核處理器(代號Granite Rapids),將同樣基于Intel 3打造。Intel 3制程工藝如何助力新產(chǎn)品實(shí)現(xiàn)飛躍?與上一個(gè)制程節(jié)點(diǎn)Intel 4相比,Intel 3實(shí)現(xiàn)了約0.9倍的邏輯微縮和17%
  • 關(guān)鍵字: Intel 3  制程  

imec 宣布牽頭建設(shè)亞 2nm 制程 NanoIC 中試線,項(xiàng)目將獲 25 億歐元資金支持

  • IT之家 5 月 21 日消息,比利時(shí) imec 微電子研究中心今日宣布將牽頭建設(shè) NanoIC 中試線。該先進(jìn)制程試驗(yàn)線項(xiàng)目預(yù)計(jì)將獲得共計(jì) 25 億歐元(IT之家備注:當(dāng)前約 196.5 億元人民幣)的公共和私人捐款支持。NanoIC 中試線是歐洲芯片聯(lián)合企業(yè) Chip JU 指定的四條先進(jìn)半導(dǎo)體中試線項(xiàng)目之一,旨在彌合從實(shí)驗(yàn)室到晶圓廠的差距,通過小批量生產(chǎn)加速概念驗(yàn)證產(chǎn)品的開發(fā)設(shè)計(jì)與測試。除其主持的 NanoIC 中試線外, imec 還將參與先進(jìn) FD-SOI
  • 關(guān)鍵字: 半導(dǎo)體  2nm SoC  制程  

瞄準(zhǔn)AI需求:臺積電在美第二座晶圓廠制程升級至2nm

  • 最新消息,臺積電官網(wǎng)宣布,在亞利桑那州建設(shè)的第二座晶圓廠制程工藝將由最初計(jì)劃的3nm升級為更先進(jìn)的2nm,量產(chǎn)時(shí)間也由2026年推遲到了2028年。2022年12月6日,在臺積電亞利桑那州第一座晶圓廠建設(shè)兩年多之后宣布建設(shè)第二座晶圓廠。在今年一季度的財(cái)報(bào)分析師電話會(huì)議上,CEO魏哲家提到這一座晶圓廠已經(jīng)封頂,最后的鋼梁已經(jīng)吊裝到位。對于將第二座晶圓廠的制程工藝由最初計(jì)劃的3nm提升到2nm,臺積電CEO魏哲家在一季度的財(cái)報(bào)分析師電話會(huì)議上也作出了回應(yīng),他表示是為了支持AI相關(guān)的強(qiáng)勁需求。在OpenAI訓(xùn)練
  • 關(guān)鍵字: AI  臺積電  晶圓  制程  2nm  3nm  

又開始搞事情?美國欲對成熟制程芯片進(jìn)行調(diào)查

  • 最近幾年,我國在國際半導(dǎo)體產(chǎn)業(yè)中的地位不斷上升,尤其是在成熟工藝芯片領(lǐng)域。根據(jù)數(shù)據(jù),中國的成熟工藝芯片產(chǎn)能已占全球份額的29%,在中國國崛起的同時(shí),大洋彼岸的美國人坐不住了,今年年初,面對中國在28nm及成熟芯片的重要力量地位,美國眾議院的兩黨領(lǐng)導(dǎo)人呼吁采取更強(qiáng)有力的行動(dòng),提出加征關(guān)稅等措施,以遏制中國在該領(lǐng)域的主導(dǎo)地位。不光是進(jìn)口,在出口方面,美國也一直在做手腳,在美國實(shí)施的芯片禁令中,大部分都是針對高端制程,從ASML的EUV光刻機(jī)到英偉達(dá)的A100芯片,清一色屬于高端產(chǎn)品。而14nm,28nm等成熟
  • 關(guān)鍵字: 美國  制程  先進(jìn)工藝  

英特爾 Arm 確認(rèn)新興企業(yè)支持計(jì)劃,助力創(chuàng)企 18A 制程芯片開發(fā)

  • 3 月 25 日消息,英特爾與 Arm 近日簽署諒解備忘錄,確認(rèn)了在“新興企業(yè)支持計(jì)劃”上的合作。該計(jì)劃最初于 2 月的 Intel Foundry Direct Connect 活動(dòng)上公布。根據(jù)該計(jì)劃,雙方將聯(lián)手支持初創(chuàng)企業(yè)基于 Intel 18A 制程工藝開發(fā) Arm 架構(gòu) SoC。具體而言,英特爾和 Arm 將在 IP 和制造上共同向創(chuàng)企給予支持,同時(shí)提供財(cái)政援助,以促進(jìn)這些企業(yè)的創(chuàng)新和增長。這些創(chuàng)企將為各類設(shè)備和服務(wù)器研發(fā) Arm 架構(gòu)的 SoC,并由英特爾代工制造。從英特爾新聞稿得知,“新興企業(yè)
  • 關(guān)鍵字: 英特爾  Arm  18A 制程  芯片  

新思科技與英特爾深化合作,以新思科技IP和經(jīng)Intel 18A工藝認(rèn)證的EDA流程加速先進(jìn)芯片設(shè)計(jì)

  • 摘要: 新思科技數(shù)字和模擬EDA流程經(jīng)過認(rèn)證和優(yōu)化,針對Intel 18A工藝實(shí)現(xiàn)功耗、性能和面積目標(biāo); 新思科技廣泛的高質(zhì)量 IP組合降低集成風(fēng)險(xiǎn)并加快產(chǎn)品上市時(shí)間,為采用Intel 18A 工藝的開發(fā)者提供了競爭優(yōu)勢; 新思科技 3DIC Compiler提供了覆蓋架構(gòu)探索到簽收的統(tǒng)一平臺,可實(shí)現(xiàn)采用Intel 18A和 EMIB技術(shù)的多裸晶芯片系統(tǒng)設(shè)計(jì)。加利福尼亞州桑尼維爾,2024年3月4日 – 新思科技(Synopsys, I
  • 關(guān)鍵字: 新思科技  英特爾  Intel 18A  EDA  芯片設(shè)計(jì)  
共490條 1/33 1 2 3 4 5 6 7 8 9 10 » ›|
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473